You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

19 lines
594 B
Scala

// http://daily-scala.blogspot.de/2009/09/lazy-val.html
object Lazyvals extends App {
val normalVal = {
println("---->>> Initializing normal val <<<----");
"This is the normal val"
}
lazy val lazyVal = {
println("---->>> Initializing lazy val <<<----");
"This is the lazy val"
}
println("\n\nno references have been made yet\n\n")
println("Accessing normal val : ")
println(normalVal)
println("\n\nAccessing lazy val : ")
println(lazyVal)
println("\n\nAccessing lazy val a second time, there should be no initialization now: ")
println(lazyVal)
}